[表示 : 全て 最新50 1-99 101- 201- 301- 401- 501- 601- 701- 801- 901- 1001- 2ch.scのread.cgiへ]
Update time : 06/23 14:48 / Filesize : 276 KB / Number-of Response : 1048
[このスレッドの書き込みを削除する]
[+板 最近立ったスレ&熱いスレ一覧 : +板 最近立ったスレ/記者別一覧] [類似スレッド一覧]


↑キャッシュ検索、類似スレ動作を修正しました、ご迷惑をお掛けしました

【Verilog】 記述言語で論理設計Project14 【VHDL】



1 名前:774ワット発電中さん [2016/08/08(月) 08:14:48.93 ID:Lhv7D4dX.net]
HDLの処理系も、それを実際に動かすシミュレータ・評価基板も、
安価で入手できるようになってきました。

このスレが目に入ったお嬢さん! HDLで何か作って遊んでみませんか。
日曜工作のHDL書き、学生さん、プロの方、主婦の方、カキコお待ちしております。
関連情報は >2-10 あたり。

入れ食いキーワード
・Nios、MicroBraze
・Artix-7、Kintex-7、Virtex-7、ハードコアCPU内蔵 Zynq-7000

前スレ (直近スレのみ)
2012/11/03〜 【Verilog】 記述言語で論理設計Project12 【VHDL】
uni.2ch.net/test/read.cgi/denki/1351913871/
2011/12/07〜 Project11
uni.2ch.net/test/read.cgi/denki/1323187568/
2010/09/17〜 Project10
kamome.2ch.net/test/read.cgi/denki/1284652343/

※前スレ
rio2016.2ch.net/test/read.cgi/denki/1394091422/

101 名前:774ワット発電中さん mailto:sage [2016/10/31(月) 18:13:03.73 ID:Fnj0DBJG.net]
これは違うかな。

specify
$setuphold( posedge on信号, スイッチ, 1, 1 );
endspecify

102 名前:774ワット発電中さん [2016/10/31(月) 18:25:25.70 ID:o2cah31x.net]
まあでもそんなのは30円くらいのマイコンでやることだな。それでも部署に
よってはI2cをFPGAに組んでくれというところもあって1ch100万で2ch
だから200万でと適当に見積もりしていたら、本当にやることになったこと
もある。

103 名前:774ワット発電中さん mailto:sage [2016/11/01(火) 04:25:45.58 ID:CthFoE4Z.net]
>>102

I2Cから浮動小数点演算まで、
月額63万円の派遣で組まされているから、さっさと転職したい。

給与は、月30*(12+3(ボーナス)) ぐらい、税引き前。

あたしゃ、もう疲れたよ。

104 名前:774ワット発電中さん mailto:sage [2016/11/02(水) 08:17:35.05 ID:1xjkUtj8.net]
普通は7掛けだから間接の分け前が多いのは大手だな。

105 名前:774ワット発電中さん [2016/11/02(水) 12:15:10.33 ID:Ki5hJ7d1.net]
昔、今リクナビで募集している
稲城のシーデックス
中身は派遣で
月75万客先請求 時給1100円 見込み残業ありで、
日立系列・ルネサス武蔵に派遣されたぞ
イッテヨシ

106 名前:774ワット発電中さん [2016/11/02(水) 19:11:52.80 ID:1rkJxqA/.net]
実は派遣の人材には時給1000円〜30000円位の能力のバラツキが
あって、一律75万払ってその中にかなりの雑魚が混じっていても、優秀なの
が数名いれば受け入れ側としては已む得ないとするんだよな。

だから時給1000円くらいの能力で月30万も貰っているとお得だよね

107 名前:774ワット発電中さん mailto:sage [2016/11/02(水) 19:30:30.54 ID:4UKedi1Q.net]
>>106
時給千円未満の能力しか、君なさそう。

108 名前:774ワット発電中さん [2016/11/04(金) 23:40:49.12 ID:rVTd+79h.net]
まずVHDLなのかVerilogでやるべきなのかすらよく理解してないけど、はじめの一歩に良い本とか環境を紹介してください
AとXの開発環境もとりあえずインストールしてみたけど、なんか取っかかりが無くて何から勉強したら良い物やら・・・

109 名前:774ワット発電中さん mailto:sage [2016/11/05(土) 07:29:23.05 ID:hJsJl0Yx.net]
>>108

入門者テキスト向けのテキストとしては、個人的に以下がおすすめ
・「HDL独習ソフトで学ぶCQ Endeavor Verilog HDL」
(ttp://shop.cqpub.co.jp/hanbai/books/38/38961.html)
・「HDL独習ソフトで学ぶ CQ Endeavor VHDL」
(ttp://shop.cqpub.co.jp/hanbai/books/38/38951.html)

ちょっと高い(税込定価4,104円)けど



110 名前:774ワット発電中さん mailto:sage [2016/11/05(土) 09:06:58.02 ID:Hx8KbpYA.net]
もう、最初からシステムCでいいよ。

111 名前:774ワット発電中さん mailto:sage [2016/11/05(土) 10:09:57.07 ID:gi1/WB49.net]
FPGAでI2Cって面倒なだけで簡単じゃん
それで100万をやりたくないお断り価格ととらずに発注してくれるのか

112 名前:774ワット発電中さん mailto:sage [2016/11/05(土) 13:04:14.16 ID:YdcMAYYL.net]
基板も起こしてね!
IF電圧は5Vですお!

113 名前:774ワット発電中さん mailto:sage [2016/11/05(土) 14:13:49.83 ID:j4YUUCzG.net]
SystemCって何だったんだろうな

114 名前:774ワット発電中さん mailto:sage [2016/11/05(土) 17:55:55.16 ID:Hx8KbpYA.net]
シノプシスとかケーデンスが売り上げアップのために無理やり推し進めてたんじゃないの?
そのくせ、ツールも凄く高くて普及させる気もなさそうだったけどね。
ソフト屋を連れてくればハードも出来ますよって騙してた。

115 名前:774ワット発電中さん mailto:sage [2016/11/05(土) 21:52:42.34 ID:bdhNvZ++.net]
>>2
続報まだか?

116 名前:774ワット発電中さん [2016/11/05(土) 22:37:56.87 ID:sO/pBHAj.net]
>>109
サンクス。早速読んでみます。
どちらをやるべきかといえばやっぱりVerilogなのかなぁ?

117 名前:774ワット発電中さん [2016/11/06(日) 00:01:43.72 ID:Pk7cdX2O.net]
どっちか取っつきやすいと思えた方でいいと思う
一つ覚えたら用語や概念の置き換えで片一方も覚えられるはず。

118 名前:774ワット発電中さん mailto:sage [2016/11/06(日) 09:58:29.43 ID:dGOGq/bK.net]
Verilog覚えてからVHDLはキツいと思う。

119 名前:774ワット発電中さん mailto:sage [2016/11/06(日) 10:05:19.59 ID:6uiNMlKf.net]
ほう



120 名前:774ワット発電中さん mailto:sage [2016/11/06(日) 10:35:30.98 ID:zAZcaoe3.net]
確かにキツいね
何がキツイって、やたら無駄が多い
同じモノ書いてコード量が2倍とか、アホらしくなってくる

仕事でもなければ、こんなもん選択しない

121 名前:774ワット発電中さん [2016/11/06(日) 11:33:25.02 ID:SXdNl6oJ.net]
つまり、最初はVHDLから入るべきって事だな。
ゆるゆるなVerilogより圧倒的にいい。

122 名前:774ワット発電中さん mailto:sage [2016/11/06(日) 13:20:07.34 ID:BY4wG7vq.net]
Verilogで、もっとチェックが厳しいのは無いんだろうか。
「そんなこと、事前に教えてくれよ」というのが多い。

123 名前:774ワット発電中さん mailto:sage [2016/11/06(日) 14:33:35.97 ID:B08Nwgql.net]
>>122
Verilatorで文法チェックができるらしい。
本来はVerilogシミュレータだけど、
チェック機能だけ使うこともできるそうだ。

seesaawiki.jp/don10ueda/d/Verilator%3A%3ALint%A5%C1%A5%A7%A5%C3%A5%AF#content_1

dora.bk.tsukuba.ac.jp/~takeuchi/?%E9%9B%BB%E6%B0%97%E5%9B%9E%E8%B7%AF%2FHDL%2FVerilator%20%E3%81%AE%E5%B0%8E%E5%85%A5%EF%BC%88C%2B%2B%E3%83%A2%E3%83%BC%E3%83%89%EF%BC%89

124 名前:774ワット発電中さん mailto:sage [2016/11/06(日) 16:31:23.20 ID:sbHeMxKP.net]
これからはVerilogの時代だろ

125 名前:774ワット発電中さん [2016/11/06(日) 19:08:28.39 ID:SXdNl6oJ.net]
終わってる

126 名前:774ワット発電中さん [2016/11/06(日) 19:54:55.16 ID:IsJdOoW0.net]
verilogしかやってない人の記述は汚すぎな奴率多い気がするな

127 名前:774ワット発電中さん mailto:sage [2016/11/06(日) 20:32:18.30 ID:6NGsOvGo.net]
気のせいじゃね?

128 名前:774ワット発電中さん [2016/11/07(月) 18:13:37.79 ID:jPgYlnsr.net]
>>109
これ、もう新品では手に入らないのね・・・
尼だとプレミアム価格になってて吹いた
定番と言われるstarc本も解散したせいですごい値段だしなんだかなぁ・・・

129 名前:774ワット発電中さん [2016/11/08(火) 00:20:15.73 ID:wYIxSDFl.net]
>120
Verilogですらやたらと無駄が多くて頭にくるのにVHDLときたら、、、、



130 名前:arisa ◆QaHT6HayjI mailto:sage [2016/11/08(火) 07:25:58.12 ID:/oDrNUof.net]
>>128
www.hdlab.co.jp/web/a050consulting/b030styleguide/
コレが高い?!!

STARCに注文出したけど振り込まなくて良かった(^−^

131 名前:774ワット発電中さん [2016/11/08(火) 07:30:04.80 ID:6SFoFk6j.net]
無駄が嫌ならABELでも書いてろ。

132 名前:774ワット発電中さん [2016/11/08(火) 09:14:16.04 ID:H1qkYcA6.net]
昔のXACTみたいに自分で配置配線出来ると楽しいんだが。

133 名前:774ワット発電中さん [2016/11/08(火) 09:48:26.62 ID:2E6xekCX.net]
>>130
お、電子書籍になってたのか。紙との値段差凄いなぁ・・・
紙でほしいけどこの値段差だったら一冊分でVHDLとVerilogの両方買えるし電子書籍になっちゃうかなぁ

134 名前:774ワット発電中さん mailto:sage [2016/11/08(火) 18:36:18.12 ID:gVFzAa6K.net]
オンデマンドのやつ会社で買ってもらったけど
なんか裁断がイマイチでページめくりづらい

135 名前:774ワット発電中さん mailto:sage [2016/11/08(火) 22:24:42.73 ID:jQlhgFaj.net]
ブッコフではやすり掛けしてるらしいね。日焼け落としでだけど。
会社でやられては敵わんから家に持ち帰ってやったらどうか。

136 名前:arisa ◆QaHT6HayjI mailto:sage [2016/11/09(水) 06:08:43.11 ID:0CxQ817X.net]
海外にいって、pdfの暗号化解除して印刷して持ち帰ったら、著作権法違反なのかよくわからないよね。

ぬるぽ!

137 名前:774ワット発電中さん mailto:sage [2016/11/10(木) 11:17:14.33 ID:OqTDt7H3.net]
CVC を cygwin (32 bit) でビルドできないでしょうか

138 名前:B
とりあえずヘッダファイルを linux から持ってきて、ld の -m オプションの指定を
i386pe に変えてみたりして進めたけど、cvclib_str.s のアセンブルでエラーになってしまう。
ld の -m オプションも正しいのかどうかわからないんだけど、できた人いませんか。
[]
[ここ壊れてます]

139 名前:774ワット発電中さん mailto:sage [2016/11/10(木) 19:48:05.16 ID:D1Ta2lua.net]
>>137
cygwin環境は知らないけど、
hexasm cvclib.o __cvclib 8 > cvclib_str.s
で止まるのは、カレントディレクトリにパスが通ってないから。



140 名前:774ワット発電中さん mailto:sage [2016/11/10(木) 22:11:45.55 ID:OqTDt7H3.net]
>>138
そこは通ってます。その変換じゃなくて、その後のアセンブルでエラーになるんですよ。
てか、linux ではビルドできてます。
どうも ELF だの COFF だのといった形式の違いが関係しているらしい。
linux で使ったところ、icarus より断然速かったんで、Windows でも使いたかったんだけどなー。

141 名前:774ワット発電中さん mailto:sage [2016/11/11(金) 00:29:10.98 ID:cWPggw/D.net]
>>139
Tachyon CVC がオープンになってるとは知らんかった。アリガト
ざっと見ただけだが、少なくともhexasm.cとv_asmlnk.cは手を入れないとだめぽい
ま、それだけで動いてしまうような気もする。

142 名前:774ワット発電中さん mailto:sage [2016/11/14(月) 02:23:14.20 ID:0vBjh5Kn.net]
16bitバイナリの値をアスキーに変換するのもう分からん
まず10進数でみたときの1桁単位で値を分解する方法が分からん
こうなったら65535ワード40bit配列作ってしまおうか?

143 名前:774ワット発電中さん mailto:sage [2016/11/14(月) 03:58:14.11 ID:OA5CEjPv.net]
$display("%5d",x);

壱の位を加算で求める(1,2,4,8,x6,x2,x4,xx8…)
0.1を掛けて、十の位を求める
0.01を掛けて、百の位を……

BCD encode shift and add-3を使う

10で割って……

144 名前:774ワット発電中さん mailto:sage [2016/11/14(月) 05:01:26.28 ID:SC5l6bf7.net]
小学校で割り算(組み立て除法)習ってないのか
51かけて9ビット右シフトするインチキも・・・

145 名前:774ワット発電中さん mailto:sage [2016/11/14(月) 07:02:28.31 ID:OA5CEjPv.net]
>shift and add-3
適当に書き散らかしたら、46000や64600でバグったよママン。

146 名前:774ワット発電中さん mailto:sage [2016/11/14(月) 21:42:33.28 ID:pfUScJZA.net]
X=40000以上ならばY1=1にしてXから40000を引く
X=20000以上ならばY2=1にしてXから20000を引く
X=10000以上ならばY3=1にしてXから10000を引く
X=8000以上ならばY4=1にしてXから8000を引く
X=4000以上ならばY5=1にしてXから4000を引く
X=2000以上ならばY6=1にしてXから2000を引く
X=1000以上ならばY7=1にしてXから1000を引く
.....
とずーっとやっていくとX1,X2,X3.....がBCDになる

ループで記述することも可能。
65536ワードより少なく、19ワードのテーブルを使う。

147 名前:774ワット発電中さん mailto:sage [2016/11/14(月) 22:06:40.04 ID:pfUScJZA.net]
う、ケアレスミス
>とずーっとやっていくとX1,X2,X3.....がBCDになる
X1,X2,X3.じゃなくってY1,Y2,Y3.だね。

148 名前:774ワット発電中さん mailto:sage [2016/11/14(月) 22:54:37.14 ID:DgvxdU/D.net]
1 clock でやる必要もなさそうだけどね。

149 名前:774ワット発電中さん mailto:sage [2016/11/14(月) 23:12:43.37 ID:HG652s2B.net]
.
always @ (posedge CLOCK) begin
end

って、やるようになってから、
↓みたいなカウンタを見ると、イラっとしてしまう私は病気でしょうか?
www.marutsu.co.jp/wp/datatech/7342/



150 名前:774ワット発電中さん mailto:sage [2016/11/14(月) 23:15:53.46 ID:HG652s2B.net]
言い忘れました。

HC163とNANDゲートを使って欲しいです。

151 名前:774ワット発電中さん [2016/11/15(火) 01:22:26.78 ID:eBE2Xkp1.net]
独シーメンスがMentor Graphicsを買収
news.mynavi.jp/news/2016/11/14/491/

152 名前:774ワット発電中さん [2016/11/16(水) 03:59:31.48 ID:R8e4I7Hm.net]
Verilog人口ってどのくらいいるんだ? 日本に10万人はいないな。1万人くらいか?

153 名前:arisa ◆QaHT6HayjI mailto:sage [2016/11/16(水) 06:06:19.59 ID:8Sn/I0Vd.net]
特電のボード見てた後に、DE0-Nano-SoC 見たら欲しくなってやばい。

154 名前:774ワット発電中さん [2016/11/16(水) 07:32:25.21 ID:yb6hanaB.net]
結局のところ勉強用にサンプルコードやらフリーのIP見てるとVHDLの物も多いし、Verilogにみんな移行した感じでもないので両方勉強しないと駄目なんだなぁとは思う

155 名前:774ワット発電中さん mailto:sage [2016/11/16(水) 12:38:48.03 ID:JGgSZ7q4.net]
アルテラとザイリンクスってどっちがいいとかありますか?

156 名前:774ワット発電中さん mailto:sage [2016/11/16(水) 14:11:18.06 ID:YMMrTQap.net]
Altera

157 名前:774ワット発電中さん mailto:sage [2016/11/16(水) 14:41:57.67 ID:SnuhtX1X.net]
ラティスとアルテラってどっちがいいとかありますか?

158 名前:774ワット発電中さん mailto:sage [2016/11/16(水) 17:38:46.61 ID:mIPUcLtu.net]
Intel

159 名前:774ワット発電中さん [2016/11/16(水) 18:07:48.56 ID:eTWokwgS.net]
>>154
用途聞いた上で、それならAのコレだね、あれにはXのこの辺だね、って判断しかできない。
どっちかが相手を完全に凌駕している訳ではない。



160 名前:774ワット発電中さん [2016/11/16(水) 18:09:07.40 ID:eTWokwgS.net]
>>156
その比較ならAltera。

161 名前:arisa ◆QaHT6HayjI mailto:sage [2016/11/16(水) 18:41:34.74 ID:ejjHBEap.net]
>>154
FFT/IFFT FIR使う信号処理なら、xilinx。

ALTERAは使いにくい上に有料だから。あとメモリ配置が高速処理にはむかない。


一般用途と、CPUとかならアルテラ。

つうか、アルテラはインテル狂ってるから。

162 名前:774ワット発電中さん [2016/11/16(水) 19:09:31.79 ID:lgesInP3.net]
省電力だったらLatticeというイメージだったけど実際どうなん?

163 名前:774ワット発電中さん mailto:sage [2016/11/16(水) 19:20:27.13 ID:iFD9mtjn.net]
インテラ

164 名前:774ワット発電中さん mailto:sage [2016/11/16(水) 20:37:02.82 ID:QU2W7RrC.net]
インティライミ

165 名前:774ワット発電中さん mailto:sage [2016/11/17(木) 15:57:53.28 ID:8ksldZn5.net]
OpenCLで検索すると、Altera/Intelの広告がトップに出てくる

166 名前:774ワット発電中さん [2016/11/18(金) 12:25:02.20 ID:Vbk7ag+6.net]
>160
高速ってどのくらいのスピードのこと?
ALTERAで400Mhzで処理をがんぱったことあるんだがギリギリだったな。Xなら
余裕とか?

167 名前:774ワット発電中さん [2016/11/21(月) 12:28:57.02 ID:hTKBI/FL.net]
verilogでレジスタに直接zを書くのって大丈夫ですか?

168 名前:ももたん [2016/11/21(月) 12:35:05.70 ID:PjBWb8Y+.net]
精神障がい者年金は1級だと毎月一生8万円もらえるそうですが、ヤクザや入れ墨の家庭の不良のニートたちが不正受給していて問題だと思います。
躁うつ病だと仮病を使って、ヤクザの人気取りの精神科医師の診断書1枚だけで毎月8万円もらえるのおかしい。しかも不正受給に近所でも気づけない!
生活保護のように経済力を査定する機関を介してでないともらえないようにしたほうがいいと思います。
全く薬物治療しなくても精神障がい者年金がもらえるんだよ!ここがおかしい社会保障制度のひとつです!
税金がそんなところにつかわれるのおかしいです。不良のニートや入れ墨のお小遣いになっている精神障がい者年金制度は問題です!

169 名前:774ワット発電中さん mailto:sage [2016/11/21(月) 15:16:42.09 ID:k5ZtlzDD.net]
>>166
大丈夫です。



170 名前:774ワット発電中さん mailto:sage [2016/11/21(月) 16:56:55.45 ID:EqddBR/f.net]
うそうそほんとほんとうそ

171 名前:774ワット発電中さん mailto:sage [2016/11/21(月) 19:58:16.69 ID:H77v3n5y.net]
レジスタは値を保持する物のであって、ハイインピーダンスの状態を保持するのは気持ち悪いような。。

172 名前:774ワット発電中さん [2016/11/21(月) 20:36:43.29 ID:wQI6dwRL.net]
まあ、合成しなければの話だ

173 名前:けど。 []
[ここ壊れてます]

174 名前:774ワット発電中さん mailto:sage [2016/11/21(月) 22:31:37.60 ID:mgnpnzyu.net]
やったことないけどエラーで弾かれたりワーニングでたりしないかね。

175 名前:774ワット発電中さん mailto:sage [2016/11/21(月) 23:04:46.03 ID:myAOCpZ9.net]
ツールさえ強力ならFF 2個と3ステートバッファで実装できるんだから
エラーで弾くべきじゃないだろ
現実に合成できるツールがあるかどうかは知らん

176 名前:774ワット発電中さん [2016/11/21(月) 23:19:40.12 ID:sI9UNIe1.net]
>>166です
みなさんありがとうございます
レジスタの値をシフトレジスタに突っ込んで、ある出力のトライステートを実現させられたり出来るかな?と思った次第です
イネーブル用のレジスタを1個おけば済む話とは思うのですが。。

177 名前:774ワット発電中さん mailto:sage [2016/11/22(火) 03:16:42.16 ID:DJj81Vlu.net]
インテル入ってる
アルテラ入ってら

178 名前:774ワット発電中さん mailto:sage [2016/11/22(火) 07:08:56.61 ID:REqK5uxJ.net]
>>175
それらを使っている奴らは、「罪、Linkす」

179 名前:774ワット発電中さん mailto:sage [2016/11/22(火) 20:30:15.76 ID:xARd2FA3.net]
やれる確信がなければ危ない橋は渡らないに限る。



180 名前:774ワット発電中さん mailto:sage [2016/11/23(水) 16:49:55.64 ID:J1tBCkiv.net]
SystemVerilogって使ってる?

使い始めてみたんだけど、bit型って初期値0なんだけど
合成した回路でもリセットなしで0になってることが保証されるの?

181 名前:774ワット発電中さん [2016/11/23(水) 22:29:21.50 ID:3R5vi/s4.net]
合成してみれば一発でわかるのに何を言ってるんでしょうね。

182 名前:774ワット発電中さん mailto:sage [2016/11/24(木) 08:54:17.79 ID:QnznLr+Z.net]
たまたま 0 になってるのか、保証されてるのか合成してわかるもんなんでしょうかね。

183 名前:774ワット発電中さん mailto:sage [2016/11/24(木) 09:44:37.97 ID:AfsPn/Fo.net]
そういうのは言語仕様ではないから、合成ツールのカスセンへ

184 名前:178 mailto:sage [2016/11/24(木) 13:02:52.64 ID:uPlTUNUo.net]
ありがとうございます。

ググりまくったらサザーランドの本の一部がgoogle booksで読めて
それによると保証されないと書いてあった。
IEEEの規格も無料配布されてるけど合成のことは触れていない。
FPGAなら初期値も合成しちゃうんだろうけど。

ということはリセット漏れの検査がシミュレーションではできないのか。

185 名前:774ワット発電中さん mailto:sage [2016/11/24(木) 17:19:30.11 ID:hwvleIxN.net]
○ 合成後ネットでやる。
○ bitを使用禁止にする。

starcさんならきっと使用禁止のガイドラインにしてくれる。

186 名前:774ワット発電中さん mailto:sage [2016/11/24(木) 18:13:55.03 ID:uBYWKu7c.net]
ソフト屋さんなんだろうな。合成出来るのはツールによって違うサブセットなのに。

187 名前:774ワット発電中さん [2016/11/24(木) 19:02:49.28 ID:QktG16u6.net]
つか合成前提にしたロジックでリセット入れないとかシミュレーションたるくてしかたないだろ。

188 名前:178 mailto:sage [2016/11/24(木) 20:08:00.93 ID:uPlTUNUo.net]
いやいや、言いたいのは、
複数人で開発してたり自分がトチ狂ってたりして
リセット入れ忘れた信号があったときに
テストから漏れる恐れがあるってことだよ。
合成後にテストできるにしても工数的には早く見つけるに越したことはない。

ま、ガイドラインとしては>>183のいうようにmodule内は2値型禁止しかないね。

189 名前:774ワット発電中さん mailto:sage [2016/11/24(木) 20:16:19.64 ID:NmHfpzpH.net]
>>185
俺が無能ってのも大きいだろうけど、出力がXのままになるな。



190 名前:774ワット発電中さん mailto:sage [2016/11/26(土) 00:48:01.54 ID:8dHlClQE.net]
DNA用の「プログラミング言語」をMITが開発。望みの機能をコーディング〜コンパイルし、細胞へインストール

>言語のベースになったのはデジタル回路シミュレーションに使われる「Verilog」で、プログラミング言語として実行可能とするためにバクテリアの DNA に論理ゲートや、化合物や光に反応するセンサーの役割を果たす機能を設計してあります。
japanese.engadget.com/2016/04/05/dna-mit/

191 名前:774ワット発電中さん mailto:sage [2016/11/28(月) 12:46:51.14 ID:0kBnv+Vg.net]
c言語をちょっとかじった俺が入りやすい方法ある?どこかで入門セミナーやってないかな?

192 名前:774ワット発電中さん mailto:sage [2016/11/28(月) 13:03:21.64 ID:ruvASFyI.net]
SystemC だね。ソフト屋さんでも簡単に使えるよ。


少なくともツールベンダーはそう言ってた。

193 名前:774ワット発電中さん mailto:sage [2016/11/28(月) 15:44:42.60 ID:t8CP5CO/.net]
Verilogでブロッキング代入

194 名前:774ワット発電中さん [2016/11/28(月) 21:09:49.98 ID:lsLGmkKT.net]
FPGAで液晶のAQM1248に文字を表示させたいんだが,どう制御コマンドを送ればいいのかさっぱりわからない...
誰かご教授願いたい...

195 名前:774ワット発電中さん mailto:sage [2016/11/28(月) 21:27:16.63 ID:2uD+aXyf.net]
akizukidenshi.com/download/ds/xiamen/AQM1248.pdf
sfukuda.at.webry.info/201311/article_15.html
qiita.com/ayasehiro/items/ef760db027222f8a13f2
snet-system.sblo.jp/article/96542229.html
https://jiwashin.blogspot.jp/search/label/AQM1248

196 名前:774ワット発電中さん mailto:sage [2016/11/28(月) 22:58:31.28 ID:JOLCMUGI.net]
>>193
早い...早速参考にさせていただきます。
ありがとうございます!
教えて君になってしまい申し訳ないです...

197 名前:774ワット発電中さん mailto:sage [2016/11/29(火) 00:28:11.25 ID:W9nL5Zcc.net]
>>188
ほう、厳格さを要するDNAプログラミング言語もベースはVerilogなのか
MITがそうなのだから時代はVerilogなんだろう

198 名前:774ワット発電中さん mailto:sage [2016/11/30(水) 06:33:35.90 ID:7x2uqA5M.net]
OpenCoresに登録しようとしたけど、受理された旨のメールが来ない…

199 名前:774ワット発電中さん mailto:sage [2016/11/30(水) 14:05:01.27 ID:iGvbK0U1.net]
ぼくなら、えふえふじゅうご!きっとそう呼ぶ!



200 名前:774ワット発電中さん mailto:sage [2016/11/30(水) 21:41:42.36 ID:WZuMrIWo.net]
196です。
今日夕方メール来ました。






[ 続きを読む ] / [ 携帯版 ]

前100 次100 最新50 [ このスレをブックマーク! 携帯に送る ] 2chのread.cgiへ
[+板 最近立ったスレ&熱いスレ一覧 : +板 最近立ったスレ/記者別一覧]( ´∀`)<276KB

read.cgi ver5.27 [feat.BBS2 +1.6] / e.0.2 (02/09/03) / eucaly.net products.
担当:undef