【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #28 at DENKI
[2ch|▼Menu]
[1からを表示]
50:774ワット発電中さん
20/04/05 02:23:45 oicx1yP3.net
>>48
どっちもwindows10です。

もしかしたらRAM不足かもしれません。
quartus primeの推奨RAM量はMAX10で2GBらしいので、4GBのノートPCだとページファイルを使ってそうです。

51:774ワット発電中さん
20/04/05 13:21:36.72 m1BTGCPM.net
64bitOSで4GBはつらいと思います。
32bit XPで4GBでは古いQuartusでも時間かかりました。今は

52:774ワット発電中さん
20/04/05 15:14:56 I2nfP+Dc.net
仕事ではRAM 256GBのマシンで開発してる
CPUのコア数とRAMが速度に効く

53:774ワット発電中さん
20/04/05 21:53:54 IquQLbmK.net
>>52
何のツール?

54:774ワット発電中さん
20/04/06 08:34:21 6+7OwiMn.net
vivadoです

55:774ワット発電中さん
20/04/07 09:25:29 gawNwLZZ.net
あvivadoんの!アビバビバ

56:774ワット発電中さん
20/04/07 23:16:42 3SC+jiD6.net
ちょっとどこの言語圏の方なのかわかりませんね…

57:774ワット発電中さん
20/04/08 12:16:57 WJv6q0ae.net
40年位前に絶滅したはず

58:774ワット発電中さん
20/04/09 12:04:08 cV04WuCo.net
FPGA開発用にマシン用意するとしたら
ryzenよりintelのほうがいいのかな

59:774ワット発電中さん
20/04/09 22:00:42 LeL9aUl5.net
大学教授さん、FPGAの開発環境を超高速化できるアーキテクチャの研究をしてくれよ

60:774ワット発電中さん
20/04/09 22:07:02 vPeu31fa.net
大学教授は楽して偉くなる方法にしか興味ないよ

61:774ワット発電中さん
20/04/09 22:38:48 owXCg1M0.net
実用的な技術に関しては企業>>大学だね
教授自身が優秀でも実際に作業するのが学生ではどうにもならないよ

62:774ワット発電中さん
20/04/10 02:20:49.77 CX9bkDGS.net
理学とかはともかく
工学であれは
大学 << 越えられない壁 << 企業
だよ。
というか大学で修士までやった奴が企業で研究やってんだから当然といえば当然
大学が優れてる点があるとすれば、自分が研究やってるある一点についてのみ企業よりレベルは上なのかもな。

63:774ワット発電中さん
20/04/10 08:29:43 AEMT4CcW.net
時間かかってるのって配置配線で、もろに組合せ最適化問題だから量子アニーリングで高速化できそうなんだよな

64:774ワット発電中さん
20/04/10 10:10:08.74 qBHtFU6F.net
>>60

65:774ワット発電中さん
20/04/10 12:43:42 v/6eTJL/.net
開発環境は何か役に立つんだか立たないのかわからないものを作るためのツールで、それ自身の成果はアッピールしにくいから

66:774ワット発電中さん
20/04/12 09:40:20 DWHbYbjO.net
HDMIで入力された画像を縮小して、HDMIで出力したいと考えています。
具体的には、"1920x1080 (16:9) 60FPS" を "1080x608 (16:9) 60FPS" で出力することです。
このように整数倍ではない中途半端な倍率でスケーリングする場合、使いやすいIPや手法・アルゴリズムは
あるでしょうか。自分でも調査中です・・・・
変換の遅延はできるだけ小さくしたいと考えています。

67:774ワット発電中さん
20/04/12 09:45:53 DWHbYbjO.net
>>66
あっ、すいません。
説明がおかしいので、もう1回書きます。

"1920x1080 (16:9) 60FPS" の画像を"1080x608 (16:9) に縮小して、
その画像を 1920x1080 の画像の真ん中に表示して1080Pとして出力する。

が正しいです。

68:774ワット発電中さん
20/04/12 11:01:11.27 u4oBEqE/.net
背景は?

69:774ワット発電中さん
20/04/12 11:22:43.76 DWHbYbjO.net
>>68
はい、背景(1080x608ドットの動画の周囲の)は、静止画(フレームバッファから読み出しのみ)を表示したいと
思っています。

70:774ワット発電中さん
20/04/12 13:08:15.11 zig6rzal.net
>>66
バイリニアかバイキュービックでいいんじゃない?

71:774ワット発電中さん
20/04/12 13:13:20.68 P4fPaTSn.net
罪のZYBOで戯れるがよい

72:774ワット発電中さん
20/04/12 17:04:42 DWHbYbjO.net
>>70
>>71
ありがとうございます。
参考にさせていただきます。

73:774ワット発電中さん
20/04/16 23:48:12 oXfBgABX.net
HDMIで入力された3840x2160 60FPS動画を h265で圧縮してファイルに落としたいのですが、
GPU/CPU/FPGAの切り分けを検討中です。
どういう設計にすればいいのでしょうか?

74:774ワット発電中さん
20/04/17 00:02:26 LggOmy9w.net
リアルタイム性の要求とかRAM制約とかがないなら全部CPUでやれば?

75:774ワット発電中さん
20/04/17 04:01:48 /UxLTzJ0.net
FFMPEG

76:774ワット発電中さん
20/04/17 14:31:50 u6SnZVsg.net
4kで60fps圧縮だと、zynqのev以外に選択肢ない
ワンチップでできる

77:774ワット発電中さん
20/04/17 19:14:11 ZnbZ2tg+.net
なにも制約がないならPC+HDMIキャプチャ+GPUでやれとしか…

78:774ワット発電中さん
20/04/18 01:44:40.75 ODGRbR2C.net
GPUやCPUはハードウェアエンコーダ付いてるからFPGAより速い。

79:774ワット発電中さん
20/04/18 10:29:37 sEhNaTrk.net
十把一絡げ

80:774ワット発電中さん
20/04/19 00:33:39 g5QEvdlB.net
cyclone 10 LP開発ボードが1880円
かなり安くないか?
URLリンク(www.chip1stop.com)

81:774ワット発電中さん
20/04/19 00:43:01 toz11Rq3.net
H265のIPどっか転がってない?

82:774ワット発電中さん
20/04/19 05:14:06.05 /iYBaNIj.net
>>80
3500円 になってるが?

83:774ワット発電中さん
20/04/19 08:30:57 mtjZ1b2O.net
たしかに安いな
普通に買うとチップ単体の方が高そう

84:774ワット発電中さん
20/04/19 13:30:36 bVp4l4cw.net
>>82
一瞬で値上げされたな
値付けミスだったのかもしれない

85:774ワット発電中さん
20/04/22 01:54:36 /n+KFG8X.net
PL法で何かあったらどうするんだ!と言われて、提案したIPの企画が棚上げになっています
それほどまでに恐ろしいモノなんでしょうか?

86:774ワット発電中さん
20/04/22 01:54:36 /n+KFG8X.net
PL法で何かあったらどうするんだ!と言われて、提案したIPの企画が棚上げになっています
それほどまでに恐ろしいモノなんでしょうか?

87:774ワット発電中さん
20/04/22 10:18:51.40 MoZMMkkc.net
100%安全なものはないから
運用でカバー
リスクコミュニケーション

88:774ワット発電中さん
20/04/22 16:24:22 DZq0eLF0.net
状況がよくわからんね
>>86はメーカー勤務で、見つけてきたIPが社内の安全基準を満たさないってこと?
それとも>>86が作ったIPを売るってこと?(この場合はPL法は関係ないような)

89:774ワット発電中さん
20/04/23 01:11:22 CHY2gzrg.net
契約の問題でしょ
書面ガチガチに固めれば問題ないと思う

90:774ワット発電中さん
20/04/24 16:37:43 E9NbI6ho.net
まあ不都合があって修正がきくものだったら修正版を提供するってくらいかな
それをタダでやるか金取るかいつまで相手するかなどは>>89でいいんじゃない

91:774ワット発電中さん
20/04/25 05:40:57.38 oQ72n1b1.net
昔XilinxてPLLじゃなくDLLしかのってなかったのに
しらんうちにPLLのせるようになったのはどーゆー理由?
そもそもFPGAのDLLって
相関器の差を利用したCDMAのDLLとは異なるもんよね?

92:774ワット発電中さん
20/04/25 06:58:17 oQ72n1b1.net
DLL位相を直接制御し、
PLLはVCOにより周波数を制御制御すると。
位相は周波数の積分により算出できることから伝達関数のオーダーがPLLの積分作用素分だけ1/s倍されてると、
分母のオーダーとしてPLLが1次大きいってことはわかった。

んで結局DLLとPLLの得失としてはどーなるの?
ジッタとかどっちが有利なん?
収束はDLLのほうが速い気もするけどどーなん?
DLLのほうが簡単なんでDLLから実装し始めたけどパフォーマンスとしてPLLの方が上なんで、
素直にPLL搭載にシフトしていったってこと?

93:774ワット発電中さん
20/04/25 07:10:36 ofRdBFXx.net
そうでしょ
ジッタ面では不利だが、PLLの方が生成できる周波数の幅が広い
1つの発信器から、任意(比較的)の周波数のクロックを生成できる

集積度が上がったんで、搭載できる機能が増えた
例えば(Video / Audio / USB / HDMI / PCIEx)とか
それぞれ固有の周波数が必要だが、一々それ毎に発信器を用意してられない

94:774ワット発電中さん
20/04/25 07:40:30 oQ72n1b1.net
ジッタでPLLが不利???
ジッタクリーナでDLLなんか使ってるのないけど
遅延線決め打ちってことならDLLの方が最終的な制御段数としては粗くならないの?
VCOは電圧制御に使うDACのビット数あげれいくらでも細かく制御できるように思うけど。

95:774ワット発電中さん
20/04/25 08:08:57 ofRdBFXx.net
君は、位相比較とループ・フィルタについて もっと勉強すべきだな
そうすれば、そのような的外れな主張も減るだろう

96:774ワット発電中さん
20/04/25 08:35:34 SbfPyWUi.net
PLLはアナログ動作が必要なので、
簡単な構造でON/OFFだけを沢山させたいチップに載せ難かった

つうのが昔話

97:774ワット発電中さん
20/04/25 10:52:30 oQ72n1b1.net
>>95
phase detectorとループフィルタてPLLの話だろ?
片側の制御ループの勉強がなんでDLLとの得失に言及できるんだ?
PLLが不利なのはDLLでは必要ない周波数ロックのキャプチャレンジとロックアップタイムの話じゃないのか?
そもそもソースのジッタが出力にまんま現れるDLLより、
ジッタ制御でPLLが不利っていったいどうーゆー意味か説明してくれませんかね?wwww

98:774ワット発電中さん
20/04/25 12:21:56 /skG8r1w.net
>>94
DACなんて使わんぞ

99:774ワット発電中さん
20/04/25 12:36:10.65 UNcelDik.net
1bit DAC など認めんと。

100:774ワット発電中さん
20/04/26 06:57:47 LN/AqUVD.net
Avnet の営業が来もしねえんだけど、そういうもん?

101:774ワット発電中さん
20/04/26 07:30:56 Sl+bscBC.net
ツール買ったりしてるけど会ったことないや。
ってか、今の時期、外回りしないだろ。
Stay at home, Save lives.

102:774ワット発電中さん
20/04/26 07:55:00 bVnC9wO6.net
Digikey Mouserで買えるんだから、Avnetなんていらん。
商社に下手に絡むと、量産時に面倒。

103:774ワット発電中さん
20/04/26 08:14:03 Sl+bscBC.net
>>102
商社通さないと面倒な会社もあるんですよ。
数万円ならコーポレートカードで決済しても通せるけど。

104:774ワット発電中さん
20/04/26 08:54:11 LN/AqUVD.net
FPGAのサポートするFAEでどっかいい会社ないの?

みんな技術力が落ちてる

105:774ワット発電中さん
20/04/26 08:56:37 LN/AqUVD.net
まぁオレの技術力もないんだが。
FPGAメーカそのものが応えられない状況が続いてて
10年前はここまで酷い状況じゃなかったと思うんだが

106:774ワット発電中さん
20/04/26 09:25:22 Kler7AT3.net
FPGAでいう技術力ってほぼツールの使い方じゃん
ひたすら資料読み込めばいいよ

ただしxilinx、テメーはダメだ

107:774ワット発電中さん
20/04/26 11:07:44 Pa3xNYbK.net
stay at home

stay home
どっちが正しいの?

108:774ワット発電中さん
20/04/26 11:13:57 xv/GD7Xa.net
>>107
URLリンク(kiwi-english.net)

109:774ワット発電中さん
20/04/26 15:34:31 LN/AqUVD.net
>>106
そうXilinxだよ。資料読んでもちんぷんかんぷん
まともなサポートなんぞ誰もいねぇ
結果使えないorz
FPGA嫌いになった。Xilinxのサポート貧弱過ぎて

110:774ワット発電中さん
20/04/26 16


111::17:09 ID:Lwcs3wfx.net



112:774ワット発電中さん
20/04/26 17:17:32 +iphW7Wu.net
Aじゃダメなのでしょうか?

113:774ワット発電中さん
20/04/26 17:25:28 Sl+bscBC.net
>>111
Achronix なんて、なかなか通じゃないか。

114:774ワット発電中さん
20/04/26 20:05:57 1PudgKWL.net
Iじゃダメなんでしょうか?

115:774ワット発電中さん
20/04/26 20:19:02 Aubm78X8.net
>>111
ごめん、もしかして Actel のこと?
あそこは Microsemi に買収されたんだ。

116:774ワット発電中さん
20/04/26 20:45:23.67 bVnC9wO6.net
microchipで。

117:774ワット発電中さん
20/04/27 11:54:45 1AbG8jYM.net
人並を抜けなければ
精進せよ

118:774ワット発電中さん
20/04/27 19:10:49 NOfWFY2s.net
自分では抜けるけど中折れする。
射精せよ。

119:774ワット発電中さん
20/04/27 21:48:22 1VqeC/zQ.net
>>116が何言ってるのか分からなかったけど、>>117がわかりやすく解説してくれて助かったよ
そういうことだったんだね

120:774ワット発電中さん
20/04/28 01:08:39 M1vGUro+.net
お前らFPGA/CPLDで射精できるのか
なかなかやるな

121:774ワット発電中さん
20/04/28 10:56:37 zjgxj0fV.net
合成中にプログレスバー見ながらシコる上級者しかいないからな

122:774ワット発電中さん
20/04/28 12:33:22 n71HnaQs.net
FPGAで作ったレトロPCクローンでエロゲして。

123:774ワット発電中さん
20/04/28 17:02:21 41y/T5RG.net
それはエミュレータで十分やろ

124:774ワット発電中さん
20/04/29 02:18:28 5H6htGD5.net
十分かどうかはどうでもいい。FPGAで射精する手段を書いたのみ

125:774ワット発電中さん
20/04/29 09:18:54 +bRsW9/a.net
退屈なスレはテドロスにやらせよう

126:774ワット発電中さん
20/04/30 06:25:04 ZOhdBlar.net
性欲がすごい嫁を見つける回路をFPGAで

127:774ワット発電中さん
20/04/30 10:24:00 Nh4cVXpN.net
蝦嬢に転職しないように留めて置くのが大変そう

128:774ワット発電中さん
20/04/30 19:11:27 ZOhdBlar.net
Gowin ワロタ

129:774ワット発電中さん
20/05/02 20:41:19 uVv6s25Z.net
>>127
ライセンス認証がグローバルIPのフローティング認証w

130:774ワット発電中さん
20/05/05 08:25:53 C+OuKPNq.net
>>125
嫁はん判別IPは、マイクロ秒単位で判別可能
ただし、結婚後は不要

131:774ワット発電中さん
20/05/05 08:55:51 99rS6SkY.net
もう、FPGAは終わったのか

XilinxはAIのみで大きいデバイスしか興味なし
IntelはCPUアタマ打ちの次の金儲けでユーザ興味なし
Latticeは小さい領域で細々
GOWINは日本で買えない
あと何かあったっけ?Actel?

儲からないFPGA技術者

132:774ワット発電中さん
20/05/05 11:18:33 iqo2V7Yj.net
GOWINて丸文が入れてんじゃないの?

133:774ワット発電中さん
20/05/05 11:25:11 F2iaiTJ1.net
〇文は中小を相手せんのよ

134:774ワット発電中さん
20/05/05 13:19:08 2ufRceGR.net
>>130
あとはMicrochipとか?

135:774ワット発電中さん
20/05/06 06:23:21 ooUhRm0o.net
派遣・請負ばっかりで給与低いのなんで?

136:774ワット発電中さん
20/05/06 06:31:48 ooUhRm0o.net
>>40-41
非本番風俗のお気に入りの嬢

137:774ワット発電中さん
20/05/06 09:16:04 pAkO8aWS.net
FPGA を使うのに技術なんていらないから。

138:774ワット発電中さん
20/05/06 15:18:13 ooUhRm0o.net
>>136
技術いらないなら、三日ぐらいでシミュレーションぐらい新人はこなせるはず

139:774ワット発電中さん
20/05/06 15:27:18 xPXEorRe.net
未だに上流をやって、下流を下請けに出すことが正しいと考えている馬鹿も多いよな。
大手企業は上流をやって稼いでいるのではなく、会社のネームバリューで仕事を取るっていう形の、商社なだけだぞ。

それを理解せず、御用聞きと丸投げのことを上流といって、安いだけの下請けに仕事をなげてトラブってばかりの会社とかもあるけどな。

安い人間を使って日常的にトラブルが発生することが当たり前で、利益率なんかより予算達成の方が重要だと思っているのがこの業界のマネジメント層だよ。

140:774ワット発電中さん
20/05/06 17:49:14 pAkO8aWS.net
>>137
シミュレーションくらい出来るだろ。
ファイル選んで実行するだけだ。

141:774ワット発電中さん
20/05/06 19:35:38 f7YiC6YJ.net
大企業コンプがひどいな
御用を聞いて、仕様書を書いて、アホな下請けを管理することの大変さが分かってない

142:774ワット発電中さん
20/05/06 21:06:56 ScL


143:W2z3u.net



144:774ワット発電中さん
20/05/06 21:25:01 ENJhcRMK.net
べんちというか、RTL部分とシミュ指定部分の区別がついていない

145:774ワット発電中さん
20/05/06 22:20:44 ooUhRm0o.net
>>140
自分でやれよ

146:774ワット発電中さん
20/05/06 22:47:43 b2N8OBBj.net
>>138
わかる
要求出す立場だけど、自分の仕事がむなしくなることある

147:774ワット発電中さん
20/05/06 22:52:00 b2N8OBBj.net
専門知識が必要な仕事ではあるが、「正しく伝わるような」資料の作成の時間が長すぎて、自分で設計・実装する方が早いんじゃね?とか思ってしまう

148:774ワット発電中さん
20/05/07 10:32:30 Fy/PbSAH.net
>>145
すればいいやん

149:774ワット発電中さん
20/05/07 14:29:32 7x7RmThn.net
>>146
無理無理
会社の中では下っ端なので仕事を選べない

150:774ワット発電中さん
20/05/07 17:51:41 ChSyEfze.net
>>143
上から遊んでんじゃないって怒られる

151:岡村隆史
20/05/07 21:33:13 4WN1aTMJ.net
風俗行けや
AVでもいいぞ

152:774ワット発電中さん
20/05/07 22:19:08 gKyDvYTr.net
>>149
FPGA技術者の年収800万円ぐらいにしようよ

153:774ワット発電中さん
20/05/13 03:27:53 m5y7W+CH.net
平均的なFPGA技術者にそこまでの価値があるのかいな

154:774ワット発電中さん
20/05/13 10:19:03 GEh9kLW4.net
求人サイトとか見てると悲しくなるな。
月収25万〜とか、新人かよ。
年収800万でも都会だと厳しくない?

155:774ワット発電中さん
20/05/13 17:52:58 rQ5mdMdt.net
さすがに800あれば十分だわ(当然独身でしょ?)

156:774ワット発電中さん
20/05/13 19:21:21 e+e80bGN.net
都会でも800万で十分だよな(FPGAのくせに結婚とかありえない)

157:774ワット発電中さん
20/05/13 20:54:28 VS1OxuaY.net
長年FPGAやってるけど
田舎だからギリギリ400万だわ

158:774ワット発電中さん
20/05/13 20:57:26 e3h+ZNTx.net
(FPGA技術者が子持ちだなんて犯罪以外にありえない)

159:774ワット発電中さん
20/05/13 22:03:14 B278gjjP.net
FPGAコンプレックスのASIC業者が紛れ込んでいるな

160:774ワット発電中さん
20/05/13 22:41:21 B278gjjP.net
FPGA設計というのは、FPGAで嫁と子どもを創るんだ。そういう上位設計ができなければ、低賃金になるんだよ。

161:774ワット発電中さん
20/05/13 22:45:03 GEh9kLW4.net
FPGA設計というのはマクロセルの構造考えるんじゃないのか?

162:774ワット発電中さん
20/05/13 23:07:34.96 B278gjjP.net
>>159
君はFPGAで何を設計しているんだ?

163:774ワット発電中さん
20/05/13 23:38:20.37 GEh9kLW4.net
FPGAで?FPGAをだろ。

164:774ワット発電中さん
20/05/13 23:59:17 c1C/OWMY.net
FPGAを設計している人は、このスレの中でも少数派じゃないですかね

165:774ワット発電中さん
20/05/13 23:59:49 c1C/OWMY.net
すごいとは思うけど

166:774ワット発電中さん
20/05/14 00:49:28 ERi8eyW9.net
『FPGAプログラミング大全』という本もあるけど、実はFPGA「を」プログラミングする行為を指していた?

167:774ワット発電中さん
20/05/14 10:42:40 6rSFWwlb.net
おまえはASICをプログラミングするとかいうのか?

168:774ワット発電中さん
20/05/14 10:56:16 ALtnu6ne.net
Field Programmable  Gate  Array
現場  書換可能  (論理)門 配列

169:774ワット発電中さん
20/05/14 12:34:34 szSOu404.net
周辺回路図考えて書いて基板監修してアルゴリズム考えてHDL書いて制御ソフト書いてケース考えて組み立てまでほぼ一人でやってるよ
基板設計とマウントは外注
零細なんでね

170:774ワット発電中さん
20/05/14 13:50:44 abd5qWds.net
>>164
多分、言いたいのはプログラミングじゃなくてコンフィギュレーションってことかな?

171:774ワット発電中さん
20/05/14 21:01:59 veU+O0if.net
>>168
まさかぁ
コンフィギュレーションが主内容の本じゃないよ

172:774ワット発電中さん
20/05/19 03:23:17 egJ9T3/H.net
>>165



173:エは人生をプログラミングしてる



174:774ワット発電中さん
20/05/19 05:37:24 4vjtAiiy.net
修復不能なバグだらけ。
リセットしたい。

175:774ワット発電中さん
20/05/19 07:45:14 JKh16Hby.net
面倒だからシャットダウンでいいや(´・ω・`)

176:774ワット発電中さん
20/05/19 08:13:05 HF8Srm0Q.net
アナログ回路とデジタル回路設計して基板設計してプログラム組んでHDL書いて
ボーナスなしで月20万ももらえない業界だし仕方ないね

177:774ワット発電中さん
20/05/19 22:02:29 ciMQUjyY.net
さすがにそれだけスキルがあって月20万未満はあまりないと思うけど…
週5日×8時間ほど働いて月20万未満なの?

178:774ワット発電中さん
20/05/20 08:51:07 qqYW+9HX.net
>>174
これ以上は残業で稼ぐんだ

179:774ワット発電中さん
20/05/21 08:14:47 yqx1gVhX.net
転職したら引く手数多でしょ

180:774ワット発電中さん
20/05/21 12:06:29 coyTZBi8.net
東京周辺なら仕事はいっぱいだけど少し田舎になると20万が普通

181:774ワット発電中さん
20/05/21 12:14:25 OFx3guCw.net
親の介護とか、何か事情があるんだろうね
がんばって

182:774ワット発電中さん
20/05/22 10:52:05.29 qpN1RTZn.net
FPGAで引く手あまた?www
いったい今の日本でFPGAの製品実装なんて仕事がどれだけあるよ
かつてASIC起こす際の試験でFPGAは多用されてたけど、こんな案件も国内プロジェクトでどんだけ残ってるかっていうね。
テレワークシステムがRaspberry PiでもできるようにFPGAを持ち出す案件がどれだけあんのさ?

183:774ワット発電中さん
20/05/22 12:09:30 2McpqJRk.net
カメラやモニターの画像処理にFPGAを使ってる会社ならいくつか知ってる

いくつかしか知らない

184:774ワット発電中さん
20/05/22 14:01:48 SwMKJNKT.net
>>179
ASICの置き換えの市場なんてごくわずかだから、相手にされないだろう

185:774ワット発電中さん
20/05/22 14:33:27 8MQT9mJH.net
研究所相手の機器とかだなあ
特殊

186:774ワット発電中さん
20/05/22 17:49:43 y0nPoiID.net
FPGAは高価過ぎる
高価過ぎて売れない→売れないから値段下げられない
の負のループに陥ってる

187:774ワット発電中さん
20/05/22 18:19:14 qpN1RTZn.net
>>181
そもそも動作周波数遅いし、単価高いし、
書き換え可能な劣化版ASICとしての量産に向かない市場しかないじゃないか。

188:774ワット発電中さん
20/05/22 21:18:47 kB9Fp/cS.net
お前ら案外fpga使ってないんだな

こっちは年々fpgaの仕事が増えてきて手が回らないから、
ソフトから増援を呼んでなんとか対処してる

189:774ワット発電中さん
20/05/22 23:03:30 vmoc78EV.net
通信機器とか放送機器とかASIC化する間もなく進化するからFPGAの独壇場だぞ

190:774ワット発電中さん
20/05/23 03:45:06 TTbjeOK1.net
>>186
それだってモノとしては本来ASICにしたいけと
時間と開発コスト考えたら割に合わないんでFPGA選択ってことだろ

>>185
そんな分野が日本でまだ残ってんのか?
5G基地局か?華為から調達できなくなって目論み変わって、国内メーカの尻たたき出した?
エリクソンあたりはいいけどサムチョンとかから調達すんのやめてよね >>携帯電話会社

191:774ワット発電中さん
20/05/23 03:57:42 TTbjeOK1.net
>>165
大体CPU+GPUでかたづくよね
FPGAっていうかHWで動作しなきゃならない部分ってのは
モデム関係の正確なクロックに同期して動作する必要がある箇所限定じゃねーの
コレだって大


192:抵FIFOでかたづくし、FPGAだってとろいクロックでしか動かないわけで、CPUと同じような構成になるのでは? Intelが注力してる金融取引にFPGA使うとかはよくしらねーけど 一体年々増えてるFPGA開発ってどの分野か教えてよ



193:774ワット発電中さん
20/05/23 04:10:39 TTbjeOK1.net
アンカー間違い
>>165じゃなく>>185

194:774ワット発電中さん
20/05/23 07:34:12 rJsEl7AS.net
>>186
進化が速いくて追いつかないじゃなくて、マーケットが極少だから誰も手を出さない
だから、 ASICにした処で 元が取れないのは明白だからな

そういった分野はそれなりにあるし、今後 無くなる事も無い
市場規模で 60億米ドル/年 あって、それが まだ伸びてる訳だから

---
>183-184
クビになった、無能 派遣かなんか知らんが
それを無視した嘘話をしたところで、結果は変わらんよ

195:185
20/05/23 10:38:37 0G9YASfy.net
>>188
普通に産業向けの装置なんだがな。
ASIC屋か知らねーけど消費者向けの
装置なんて業界で見れば少数で
多くはBtoB向けだろ。

そもそもCPUじゃIOが貧弱すぎて単純な
ものしかインターフェースできない。
多くのIOの同時操作はできないし、
高速なICも2,3個載ってたらもう制御しきれない

196:774ワット発電中さん
20/05/23 11:21:53.29 8/NZperw.net
ASICが1日で出来上がるならASIC使うけど何ヶ月も掛かるじゃん?
そんな次元で仕事してないんだわ。

197:774ワット発電中さん
20/05/23 11:37:14.35 etFSs8kv.net
>>183-184
FPGAをまともに使いこなせなかった派遣さんですか?

198:774ワット発電中さん
20/05/23 13:30:47.21 qbC9HVBB.net
無能派遣と言われ続けてきたけど、派遣使わないとできない奴らが無能では

199:774ワット発電中さん
20/05/23 21:32:29 bqLMRO6o.net
派遣抜けて、転職して1年後上司が変わって
抵抗をつけて放電時間をはかるだけの作業を毎日一ヶ月近くやらされたことを思いだした

その上司裏で散々ワイの悪口いうとった

FPGAわかりませーん。のくせに退職届出したら無能通告

退職後本名で検索したら、LSIメーカーにいて特許も出しておった

半導体関連からくるヘンテコな奴が派遣に来たり、中小にいたりすんの何とかならへんかな

200:774ワット発電中さん
20/05/23 22:07:53 nyyt3ZgH.net
LSIメーカーってあんまりないよね。

201:774ワット発電中さん
20/05/24 13:33:12 VUJko+VF.net
逃芝かな

202:774ワット発電中さん
20/05/24 21:47:16 qLq/ujtz.net
東芝てかキオクシアはフラッシュしか作ってないやん
LSIというならルネっしょ
こういう機能性LSIの3D実装ってできないんかな?

203:774ワット発電中さん
20/05/24 22:13:19.09 dY2bSZYU.net
うーん、世の中がそんなことを求めてない気がする

204:774ワット発電中さん
20/05/24 23:36:23 a5Ubjs7m.net
>>197
総合電機はLSIメーカーとは呼ばないよね。
設計専門会社はメーカーじゃないし。
確かにルネサスくらいしかないな。

205:774ワット発電中さん
20/05/25 09:14:47 SYw02TCt.net
まあ、ルネも元は総合電機メーカー(日立と三菱)の一部だったんだけどね

206:774ワット発電中さん
20/05/25 09:15:59 Dn3Ef9St.net
NEC...

207:774ワット発電中さん
20/05/25 10:43:05 OurfaB2g.net
OKI半導体…

208:774ワット発電中さん
20/05/25 11:12:28 LTQkXzl0.net
>>203 チチピアスに変わっタンだっけ


209:



210:774ワット発電中さん
20/05/25 15:52:27 uSYHnW24.net
乳ピアス!?

211:774ワット発電中さん
20/05/25 21:02:51.23 ubUI7q1x.net
Yes, Sir!

212:774ワット発電中さん
20/05/26 20:46:17 376Cc17w.net
>>205
痛そう

213:774ワット発電中さん
20/05/27 00:05:13.93 OVcBNKDD.net
>>199
300Wの14nmを積層されても迷惑なだけだが、
分子に大きさがある限り微細加工は早晩行き詰まる
絶好調のTSMCだって3nmの次はわからん
平面方向のプロセスルールが行き詰まったら
クロックの伸びはもう完全に終了しても、、
回路規模の増大は、縦方向に実装すればなんとか延命できる
縦方向に回路つくらなくてもバスを介して1階,2階のコアをつなげばいいだけだと思うけど
2025年ぐらいで行き詰まるんじゃねーの?

214:774ワット発電中さん
20/05/27 00:07:01.47 OVcBNKDD.net
>>03
オーケーインターナショナル半導体?
URLリンク(www.okinjp.com)

215:774ワット発電中さん
20/05/27 01:51:49.17 S6SF20Tl.net
>>208
まずそこまで大規模な回路を積んだチップが本当に必要かどうかですよ

216:774ワット発電中さん
20/05/27 04:35:36.42 OVcBNKDD.net
単純に
CPUはもうクロック上がらなくなって
コア数増やして並列数で処理量増やしてるという現実がある
同じダイサイズならコア数によって値段が決まる

217:774ワット発電中さん
20/05/27 07:47:13 rZbVWCpj.net
WindowsもMacも無駄に大きなOSになっちまったもんなぁ
CPUも大きくしていくしかないのか

218:774ワット発電中さん
20/06/06 12:38:48 jxAv3TlZ.net
USB3.0 to SATAブリッジって世の中にいっぱい流通しているけど
チップのみ買える&設計に必要な情報が公開されているチップは多分ない
USB HUBやSATA PMPなんかも同様
かといってFPGAで実装すると言っても簡単じゃないしなぁ

219:774ワット発電中さん
20/06/06 16:47:27.09 S8FMglw2.net
専用のphyが必要
そんなもの外付けしてまで、FPGAに取り込もうとするヤツは まずいない
$1〜2程度のヤツで、そんな事をしたら 価格が完全に逆転してしまう

220:774ワット発電中さん
20/06/06 18:41:37 EF4vzQsn.net
確かopencoreにsataのip転がってたぞ
あとはusb3ならftdiとかでいいし

221:774ワット発電中さん
20/06/06 19:18:16.62 jxAv3TlZ.net
例えばJMicron JMS580+JMB575でUSB3→SATA3×5とかやってみたいが
チップは売っていないし、マニュアルもないし、ファームウェアもないで詰み

222:774ワット発電中さん
20/06/07 01:39:50.83 sxHyuOfA.net
個人を相手にしだすと、たまに変な人もわいてくるからねえ

223:774ワット発電中さん
20/06/09 16:14:41.48 aI/1m83B.net
2進数の10bitで来た信号(10進数の0〜999)を
10進数の桁ごとに分けて2進数の出力をしたいんですが
d739 (10'b1011011100) → d7 (4'b0111) 、d'3(4'b0011)、d'9(4'b1001)
今は来た信号を100で割り算して百の位を出力、
出力を百倍して元の信号と引き算して10で割り算して十の位を出力。。。
のような流れで割り算、掛け算+減算の階段でやっているのですが
掛け算、割り算を用いないでもっとスッキリした方法はありますか?
極力軽い動作にしたいのですが、初心者のため思いつかないので
ここに知恵を借りに来ました。

224:774ワット発電中さん
20/06/09 17:40:10.84 wqWxtva5


225:.net



226:774ワット発電中さん
20/06/09 17:40:44.81 wqWxtva5.net
1000だった

227:774ワット発電中さん
20/06/09 18:03:29.75 Echz3ROx.net
12KBほどのBRAMがあれば、1サイクルで答えを出せるわけか
いいねえ

228:774ワット発電中さん
20/06/09 18:18:17.32 wqWxtva5.net
>>221
普通は10で割った商を保存しながら剰余を出力し
それを繰り返すと思うんだが
割り算使っちゃいけないというんで
そんな方法しか思い浮かばんかった

229:774ワット発電中さん
20/06/09 18:32:33 Echz3ROx.net
>>222
実用上、ベストな方法だと思うけどなー
学校の課題の答案としてはダメかもしれんけど

他のスレとマルチしてるし、向こうのスレではBCDというキーワードももらってるし…
この辺↓を読んで自分で考えて実装すればいいと思う
URLリンク(kona2europa.wiki.fc2.com)

230:774ワット発電中さん
20/06/09 18:41:22.15 dpmewODT.net
>>254
雑に書くけど
if(a>=900)b=9;
else if(a>=800)b=8;

a-=b*100;
みたいな感じどーよ?
わり算なし。

231:774ワット発電中さん
20/06/09 19:45:46.37 X4n46Wa7.net
テーブル引きって便利すぎて覚えると多用しちゃう
1/xとか三角関数とか出てくるとまずテーブルで検討する

232:774ワット発電中さん
20/06/09 20:31:42 +zRZDMsl.net
ぶっちゃけ>>218程度だとFPGAに計算させるより高速なマイコンでLUT引いた方が速かったりして

233:774ワット発電中さん
20/06/09 21:17:37 +/FVKIxm.net
>>221
1.5KBでしたm(_ _)m

234:774ワット発電中さん
20/06/09 21:52:44.40 GglLnMgs.net
>>226
むしろFPGAならテーブル引くべき。

235:774ワット発電中さん
20/06/09 22:01:35.03 GglLnMgs.net
74シリーズでそんなICがあったんだよ。
何個も組み合わせるんだけどどうなってるんだか
厨房のオレには分からなかった。

236:774ワット発電中さん
20/06/09 22:20:48 GglLnMgs.net
URLリンク(pdf-file.ic37.com)
あった。Fig.8 かな。

237:774ワット発電中さん
20/06/10 06:23:26 iQOla6Ie.net
マルチなのかコピペ荒らしなのかもうすぐ分かるだろう

238:774ワット発電中さん
20/06/10 10:27:21 6EQ0meiR.net
テーブルがいやなら桁ごとの評価してみては
100より大きいかみて大きかったら100引いていって、引いた回数が100の位
10の位も同様、余りが1の位
ま、割り算してるのと同じだが乗算器は使わないでできる

239:774ワット発電中さん
20/06/10 18:58:58.19 r+/t/w8L.net
このスレのFPGAエンジニアがあまり先人に学ぼうとしないことが分かってしまって辛い

240:774ワット発電中さん
20/06/11 00:26:35.60 u1wJN0XX.net
しょうがないでしょ、月給20万未満の仕事だし

241:774ワット発電中さん
20/06/11 01:38:49.24 jMjyrXhi.net
Vivado 2020.1が出ているわけだが、インストーラのサイズがインフレ気味だな
今回
Vivado HLx 2020.1: All OS installer Single-File Download (TAR/GZIP - 35.51 GB)
前回
Vivado HLx 2019.2: All OS installer Single-File Download (TAR/GZIP - 26.55 GB)
前々回
Vivado HLx 2019.1: All OS installer Single-File Download (TAR/GZIP - 21.39 GB)

242:774ワット発電中さん
20/06/11 05:38:37.23 U/UbIQej.net
うんんざりするようなサイズ
その9割は、絶対に使わないようなファイルで占められている

243:774ワット発電中さん
20/06/11 09:08:18.50 E+Jv3fXM.net
RTL コンパイラなんて数MBだろうね。

244:774ワット発電中さん
20/06/11 10:54:09.68 LQmVtSMK.net
Visual Studioと比べたら驚くような数字ではない

245:774ワット発電中さん
20/06/11 12:16:44.03 XUfOkLrG.net
いやいや、数年以内にはVivadoがVisual Studioを圧倒的大差をつけて追い越すんじゃないの?

246:774ワット発電中さん
20/06/11 13:03:22.15 ri/yU0xm.net
Visual StudioはVerうpでダイエットした希ガス

247:774ワット発電中さん
20/06/11 20:23:20.17 0WvJBdoc.net
ザイリンクスはデバイスはいいのに
相変わらず開発環境はクソだな

248:774ワット発電中さん
20/06/11 23:44:37.03 3JWOyUT4.net
なんか寄せ集めのツールだよな。

249:774ワット発電中さん
20/06/13 03:42:37.42 egB6/CN6.net
教えてください。
Xilinx Spqrtan6 FPGAを使用した基板を作ったのですが、
ミクロンというメーカーのM25P40というSPI Flash ROMが廃品だったので、
同じ4Mbitの、MicrochipのSST25VF040を使用しました。
iMpactでJTAGで、FPGAは認識するのですが、SPI Flashが認識しません。
というか、SST25...がリストに上がって来ないのです。
そこで教えてください。
1. iPmactのDevice...でリストに出てこないのは、容量は同じでも、
  iMpactのリストの中のデバイスを使わずに、別メーカのものを使用したからでしょうか?
2. そのリストの型式と実物を合わせないと、iMpactでは絶対に書けない、という理解は正しいでしょうか?
3. FPGAに必要な容量が2.7Mbitです。ならば4Mbitでも、16Mbitでも、
  2.7Mbitより大きい容量のROMは使用できると思いますが、
  mcs自体が4Mbitの前提で生成してあるので、それ以上の容量ならOKというわけではなく、
  ちゃんと4MbitのROMにしないと、そのままでは書けない。
  つまり再度bit→mcsを行う必要がある、という理解は正しいでしょうか?
よろしくお願いします。

250:774ワット発電中さん
20/06/13 05:59:42.53 Yv5GnFBY.net
アタマの悪さ丸出しで可哀想になってくるな

251:774ワット発電中さん
20/06/13 06:15:49.91 mHeqv1Fo.net
SPI Flash ROMには製品コードが書かれている領域があって、
書込みソフトに登録してあるコードと一致しないと、次に進まない。
新しいROMを使う場合は、コードを登録しないとアカンのやけど
いわゆる裏技になるのでやほーとがggrksでございます。

知らんけど

252:774ワット発電中さん
20/06/13 09:50:39.40 /IhAv0w5.net
特定の領域にアクセスしたら嘘コード還すtrap造れないかな

253:774ワット発電中さん
20/06/13 16:51:10.26 iBop2TSi.net
罪坊

254:774ワット発電中さん
20/06/13 19:38:02.76 6g2uyQQ4.net
>>243
リストにあるflashとリードとライトのコマンドが同じならできる
ただし環境変数にベンダーID確認をスキップするやつを追加する必要がある

255:774ワット発電中さん
20/06/19 23:27:44.11 SX37auVo.net
ISE 14.7はいつまで現役が続くんだろうね

256:774ワット発電中さん
20/06/19 23:45:33.98 KkK5f+Hs.net
もう現役じゃないだろ。
Win10で動かないし。

257:774ワット発電中さん
20/06/19 23:58:56.18 ytYvNIjq.net
>>250
うちでは動いとるよ?
Win10のWSL上で

258:774ワット発電中さん
20/06/20 00:00:53.44 vxq2R2b1.net
>>243もSpartan6と言ってるし、ISEじゃないのかな

259:774ワット発電中さん
20/06/20 07:23:10.62 +H7BWcSm.net
ベンツのライトに付いてるコントローラーにM3が入っていたよ

260:774ワット発電中さん
20/06/20 07:26:49.57 +H7BWcSm.net
なんか製品デザインが中華っぽいね

261:774ワット発電中さん
20/06/20 07:34:30 +H7BWcSm.net
住所がアメリカや


262:カナダでも実は中華人が中華売ってるってことは非常に多いよ 俺の業界では すでにそこ通り越して 堂々と中華として売ってる アメリカ人はただの販売員 しかも中華人自体が米大メーカーのリストラ コードの開発頼んだらちょっとまってくれと言ってわずか3日で出してきた アメリカ人には無理 ついてるコメが漢字だったし 結局そこを切って上海ルートで原作者探し なんとシンセンだった 今度は英語の問題が発生 相手がわからない Google翻訳で返事してくる



263:774ワット発電中さん
20/06/20 07:36:58.08 +H7BWcSm.net
社内通訳は外国語大出てるが 技術センス マイナス 会社の敵 足引っ張ってる

264:774ワット発電中さん
20/06/20 07:39:09.24 +H7BWcSm.net
もう世界中イギリス英語で統一しろ!
トランプみたいに変な雅語とか文語使うな 偽知識人め

265:774ワット発電中さん
20/06/24 22:07:06.58 kN3DKwZe.net
>>257
日本語が使用禁止になるってこと?

266:774ワット発電中さん
20/06/24 22:26:32.91 L7jQHJjC.net
イギリス英語はいいけどイギリス人の話し方は分かりにくい。

267:774ワット発電中さん
20/06/25 11:19:44.50 0p77+Zef.net
>>259
スタサプのCMじゃないけど、ネイティブのしゃべる英語は
アクセントのストレス位置しか発音していないからな。
Ni-MH(Nickel?metal hydride :ニッケル水素電池)とか
「にっかめっとへっどら」に聴こえるw

268:774ワット発電中さん
20/06/25 11:21:55 0p77+Zef.net
>>260
文字化けした Nickel?metal → Nickel-metal

269:774ワット発電中さん
20/06/25 11:25:35 0p77+Zef.net
世界中で英語を話す人口が増えれば増えるほど、
イギリス人アメリカ人等いわゆるネイティブのしゃべる英語が
分かりにくくマイナーなものになるという悲劇(喜劇)が起こる。

日本人の英語発言は国際会議などでは聴き取りやすいと
(母国語が非英語の)各国から評判が良い、という話もある。

270:774ワット発電中さん
20/06/25 12:05:36 fyBjW9T3.net
日本で教えてるのが Queen's English だからじゃないの

271:774ワット発電中さん
20/06/25 18:53:18.08 HLa1IBTz.net
>>250
ググれば出てくるが、DLL入れ替えたら動く。

272:774ワット発電中さん
20/06/25 19:42:02.96 YyPfXKo7.net
中華spartan6の安いボードSDram付き
使ったことのある人いる?
2000円くらいのやつ

273:774ワット発電中さん
20/06/25 20:51:38.45 O88EVYbc.net
XC6SLX16 / MT48LC16M16: $22.3
動いてるよ
中華品は、当り外れが激しいからな
仮に、同じところでまた買ったとしても、それが動くかどうかは運次第

274:774ワット発電中さん
20/06/25 21:37:15 TqOL63Uz.net
>>264
そこまでして使いたいか?

275:774ワット発電中さん
20/06/25 23:05:16.82 fF9SvaXa.net
これかな、DLL入れ替えて動かすやつ
URLリンク(www.haljion.net)
簡単そうじゃないか

276:774ワット発電中さん
20/06/26 08:32:46.41 53Sb6b4F.net
公式は何でVMなんか使わせるんだろうね。アホだね。

277:774ワット発電中さん
20/06/26 09:24:43.37 HRBgLSyV.net
spartan6しか使わないと割り切って、
Windows10でISE14.7を使いたい。
現在の、廉価FPGAって、Xilinxだと何になるの?
spartan6でいいのかな?

278:774ワット発電中さん
20/06/26 11:15:07 ZpE3FA3T.net
Spartan7だよん

279:774ワット発電中さん
20/06/26 11:20:55 c0QL7up3.net
すぱるタン

280:774ワット発電中さん
20/06/26 13:46:27.92 JIpumWSa.net
むしろFPGA用にXPをまだ残してる

281:774ワット発電中さん
20/06/26 14:11:24 HUo/A/iD.net
正規ルートで買うならS7
中華ルートでよければS6かA7
lcscもかなり安い

282:774ワット発電中さん
20/06/26 14:36:45 fBZisshZ.net
コロナの前でも、到着まで一ヶ月だったからな
今は どれだけの時間が掛かるか判らん、中華品

283:774ワット発電中さん
20/06/26 18:27:01.85 og14eZ/G.net
xilinxから「もうS6はやめてくれ!」って言われてるよ
売れてるデバイスだけどツールサポート考えると負債なんだろうな

284:774ワット発電中さん
20/06/26 18:43:33.92 spk0+KMx.net
CPLDも含めて、製造中止に強いプログラマブルデバイス、みたいな言われ方もしたことがあったのにな。

285:774ワット発電中さん
20/06/26 18:47:44 A+y1e151.net
デバイスの乗換自体はそこまで大変じゃないからな…

だけど悲しいかな
基板設計や実装が大変な時代になってしまったので…

286:774ワット発電中さん
20/06/26 18:53:06.00 spk0+KMx.net
ロジックや回路の設計自体は横においておいて、
製造中の基板の部品を載せ替えるとなったら、基板の費用も、試験も、客先承認も、とめっちゃ厄介だし。

287:774ワット発電中さん
20/06/26 19:34:06.92 mZHb4eTJ.net
>>276
スパ3AN好きだったのに。

288:774ワット発電中さん
20/06/26 23:59:33 spk0+KMx.net
>売れてるデバイスだけどツールサポート考えると負債なんだろうな

旧ツールのサポートをやめるために、デバイスを打ち切るってちょっと考えにくい。
実際のところは、デバイスの儲けも悪かったんじゃないのかな。
インテルも小規模デバイスからはフェードアウトしそうだし。

289:774ワット発電中さん
20/06/27 00:29:20 iV5sPHTt.net
スパルタン6はまだ大丈夫だろ
2027年までは製造するってメールに書いてあったぞ

新規設計は間違いなく非推奨だが

290:774ワット発電中さん
20/06/27 04:45:03 4h07i/fu.net
2027年以降、XilinxのFPGAが使えなくなるのか…

291:774ワット発電中さん
20/06/27 05:33:19.60 Q6DwqbZw.net
あと7年もあればS6を超えるデバイスを開発してくれるだろ

292:774ワット発電中さん
20/06/27 08:59:17 1miyWT8b.net
足多すぎたり電圧が低かったり
コンパチ度が

293:774ワット発電中さん
20/06/27 09:49:11.80 286JbQyE.net
もう小規模デバイスはLatticeぐらいしかないのかな。

294:774ワット発電中さん
20/06/27 12:27:25 xVwv//ka.net
EfinixのTrion

295:774ワット発電中さん
20/06/27 12:50:50.93 PgKdzX9P.net
> Spartan-6 デバイス. 少なくとも 2027 年までの出荷が保証
公式:日本語でのアナウンスで↑だからな
日本の感覚では、この文面から 2028年:即出荷停止 になるとはとても思えない
Spartan-3 じゃ手狭だが、Spartan-6なら間に合う
Spartan-6より安いなら代替も有りだが、そうでもなければ Spartan-7の規模はいらない
こんな処だな

296:774ワット発電中さん
20/06/27 13:39:47.97 6eoNiJCO.net
7年後には俺も40代に突入か…

297:774ワット発電中さん
20/06/27 16:10:19 pzRiPmp4.net
値段安くて使いやすければ大規模でもいいよ。スカスカで使うから。

298:774ワット発電中さん
20/06/27 19:19:40 ITZAcF6O.net
>>290
容量ギリギリだと、配置配線の関係で速度出しにくい。

299:774ワット発電中さん
20/06/27 19:35:00 iV5sPHTt.net
最近はMAX10かCyclone10LPばかり使ってるな
容量が幅広くて使いやすい

自分にとってザイのはでかすぎる、ラティスは小さすぎる

300:774ワット発電中さん
20/06/27 20:51:35.77 6EHhKUvk.net
MAX10で外付けなしで3.3Vのみで動くのは使いやすすぎ。
これで100ピンや64ピンがあるといいのに。

301:774ワット発電中さん
20/06/27 21:02:47 fIvhwYrX.net
Cyclone 10 LP、リネーム商法の真骨頂だな
名称は 5 -> 10 にぶっ飛んだが、プロセスは逆に2世代ほど逆戻り

開発に力を割かずに、こう言う馬鹿な事ばかりしてるから会社が傾くわけだよ

302:774ワット発電中さん
20/06/27 21:48:27 nBjVAn2S.net
データシート位は見るでしょ

303:774ワット発電中さん
20/06/27 23:01:24.82 Usa0mleJ.net
いやだ!もうでーたしーとなんかみたくない!

304:774ワット発電中さん
20/06/28 01:20:12.96 0IKLOPzy.net
IntelのCPU選ぶときにデータシートなんて見るか?

305:774ワット発電中さん
20/06/28 08:33:20 MIZjrVYg.net
基板設計する時は読むよ。

306:774ワット発電中さん
20/06/29 20:13:36.59 SslV2q6w.net
いやだ!もうきばんせっけいなんてやりたくない!

307:774ワット発電中さん
20/06/29 20:32:53.35 JQlZdrAN.net
もちつけ

308:774ワット発電中さん
20/06/29 20:55:37.87 XtVGq6lM.net
基板設計するとき使いやすくてmax10使ってるが、レパートリー増やしたくて他に何にしようか迷ってる
cyclone10lpとかeqfpあって良さそうだけど、何か他にオススメあります?

309:774ワット発電中さん
20/06/29 22:12:26 k/pKD5Yr.net
おれは、しょうきにもどった!

310:774ワット発電中さん
20/06/30 02:42:52 9sY3RXlJ.net
会社行きたくない会社行きたくない会社行きたくない会社行きたくない…

311:774ワット発電中さん
20/06/30 12:50:01 2fWBJDCF.net
はたらいたらまけ

312:774ワット発電中さん
20/06/30 16:31:16 h4oAwTEp.net
はらたいらまけた

313:774ワット発電中さん
20/06/30 17:13:24.24 fDLWWD3z3
はたらいてないけど まけたままです


次ページ
最新レス表示
スレッドの検索
類似スレ一覧
話題のニュース
おまかせリスト
▼オプションを表示
暇つぶし2ch

36日前に更新/234 KB
担当:undef